本日の読書

ホンダ イノベーションの神髄作者: 小林三郎(元・ホンダ経営企画部長)出版社/メーカー: 日経BP社発売日: 2012/07/26メディア: 単行本購入: 3人 クリック: 13回この商品を含むブログ (3件) を見る

本日の読書

ザ・チェンジ・メイカー ―世界標準のチームリーダーになる49のレッスン作者: 齋藤ウィリアム浩幸出版社/メーカー: 日本経済新聞出版社発売日: 2016/01/21メディア: 単行本(ソフトカバー)この商品を含むブログを見るカイゼン魂 トヨタを創った男 豊田喜一郎…

本日の読書

部下を持ったら必ず読む 「任せ方」の教科書 「プレーイング・マネージャー」になってはいけない (ノンフィクション単行本)作者: 出口治明出版社/メーカー: 角川書店発売日: 2013/11/22メディア: 単行本この商品を含むブログ (5件) を見る

本日の読書

「世界一速い会社」の秘密作者: 竹田正俊出版社/メーカー: ダイヤモンド社発売日: 2015/12/11メディア: 単行本(ソフトカバー)この商品を含むブログを見る

本日の読書

結局、「すぐやる人」がすべてを手に入れる作者: 藤由達藏出版社/メーカー: 青春出版社発売日: 2015/07/01メディア: 単行本(ソフトカバー)この商品を含むブログ (5件) を見る

本日の読書

図解入門ビジネス 生産技術の実践手法がよーくわかる本作者: 菅間正二出版社/メーカー: 秀和システム発売日: 2015/05/15メディア: Kindle版この商品を含むブログを見るまぁ、ざっくりとした紹介本 kindleでやすかったから購入してみた。本気で変わりたい人の…

本日の読書

スマート・ファクトリー ―― 戦略的「工場マネジメント」の処方箋作者: 清威人出版社/メーカー: 英治出版発売日: 2010/08/04メディア: 単行本 クリック: 1回この商品を含むブログ (1件) を見るうーん。結局スマートファクトリーにした時の効果はいろいろ書い…

本日の読書

バカになれ! カリスマ・エンジニア「ゼロからの発想術」作者: 水野和敏出版社/メーカー: 文藝春秋発売日: 2014/11/27メディア: 単行本この商品を含むブログ (1件) を見る 要約 バカになれ!というのは「思考のプロテクトを外すこと」である。思考のプロテク…

本日の読書

トヨタで学んだ「紙1枚! 」にまとめる技術[超実践編]作者: 浅田すぐる出版社/メーカー: サンマーク出版発売日: 2016/01/07メディア: 単行本(ソフトカバー)この商品を含むブログを見る前とはすこし違う感じだけど、まぁ似ている。 やはり要約は三つっていう…

本日の読書

ずるい考え方 ?ゼロから始めるラテラルシンキング入門?作者: 木村尚義出版社/メーカー: 株式会社あさ出版発売日: 2015/01/12メディア: Kindle版この商品を含むブログを見るラテラルシンギングの本実例があったりと読みやすい。kindleの月1無料本リストにも…

本日の読書

一流の人は、なぜA3ノートを使うのか? すべてを“紙1枚”にまとめる仕事術 仕事の教科書BOOKS作者: 横田伊佐男出版社/メーカー: 学研プラス発売日: 2015/03/26メディア: Kindle版この商品を含むブログを見る3つに要約するなどのエッセンスは使えそう…

今年 目標

仕事 数件のプロジェクト責任者になっている。 とりあえず、それを成果としてまとめることが最重要課題。 チームメンバーの確保と協力者を増やす 開発をプロセス作り変える それで給料を2割アップさせる。 勉強 マネジメント お金 機械分野、ソフトウェアテ…

12月から1月1週目で読んだ本

ホンダ流のワイガヤのすすめ 大ヒットはいつも偶然のひとことから生まれる作者: 本間日義出版社/メーカー: 朝日新聞出版発売日: 2015/11/06メディア: 単行本この商品を含むブログを見るトヨタの製品開発と比較して読むとメーカから出て面白い。 どちらかとい…

zybo のカスタムシステムの作成

ボードデザイン とりあえず、オーディオ、グラフィックなしで作ってみる。 report Utilization +------------------------------+-----------------------------------+------------+------------+---------+------+-----+--------+--------+--------------+…

zybo ベースシステムのロジック軽量化

目的 zyboのベースデザインでlinuxが動くことを確認した。 しかし、zyboのベースデザインでのロジック使用率が30%と少し多いので、いらないロジックを減らしたい。 report_utilization report_utilization -hierarchical_depth 2 -hierarchical Copyright 19…

ZYBOでubnutu のroot file system

rootFS rootFSを追加してみる。 参考 FPGAの部屋 ZYBO用のEmbedded Linux(root file system をSDカードの第2パーティションへ) エラー発生 root@linaro-ubuntu-desktop:~# [ 75.655644] cpufreq_cpu0: failed to set clock rate: -16 [ 75.660786] cpufreq…

zybo でlinux

資料 ZYBO-Embedded Linux Hands-on Tutorial日本語では FPGAの部屋 ZYBO用のEmbedded Linux チュートリアル1(IPのアップグレード) Embedded Linux® Hands-on Tutorial for the ZYBO をやってみた | Blog | Being a nerd engineer で詳しくかかれています…

ubuntu install

zynqでlinuxを動かしたいためubuntuをインストールする。 T440sのパッドが最悪の操作性。さてどうしたものか。

zynq事始

冬休み中はひまなのでzynqで遊ぶ。 買ったもの Digilent Inc. - Digital Design Engineer's Source 秋月で購入TOSHIBA(東芝) microSDHCカード Class10 読込最大30MB/S 8GB 海外パッケージ品 SD-C008GR7AR30出版社/メーカー: TOSHIBAメディア: Personal Compu…

T440S購入

未使用品中古で7万で購入スペックは下記 win7 64bit pro core i5 4300U memory DDR3 8G FULLHD (IPS) 14型1.6kgなので、持ち歩く気力が出るサイズsurface pro3を買うかずっと迷ってたがたまたま発見し購入 いい点 スペックはまぁまぁ満足。普段使いなら十分…

FPGA chip間通信 

Aurora 8B/10B 出てた。これが組み込めればいろいろと使える可能性がかなり広がる。

ファンアウトが大きい時

対策としてはレジスタを複製してレジスタあたりの受信側回路を減らしてやる。 ツールで勝手にやってくれる場合もあるらしいがよくわからんのでコードで明確に複製する。手動でレジスタをコピー process(clk) begin if(clk'event and clk='1') then copy_reg1 …

非同期axi クロックコンバータ

async で同期ステージ3だと通信で20クロックくらいかかってしまうらしい。 しかもvalidとかが2回出てる時があるので、やっぱり非同期でやるのは良くない。

xilinx ip を含む modelsim でのシミュレーション

簡単なのはvivado のプロジェクトを使う方法だが、modelsimのguiを使いたくない場合や、systemverilogを使いたい場合があり、vivadoプロジェクトだとできないことがある。(できるかもしれないけど、とりあえず)modelsimは自分でdofileまたはmakefileを作っ…

DPI-Cでファイル操作

HDLからのファイル操作は正直使いにくいのでDPI-Cを使いC言語でcsv形式でデータ出力、データ入力をする関数を作り、systemverilogでデータをまとめるtaskを作り、保存読み込みに対応させた。functionでDPI-Cを読み込ませてtaskでまとめるやり方でそれなりに…

アドレスサイズ4kbyte以下のAXI4 ペリフェラル

IPインテグレータを使いたかったら、自力でインターコネクト作って4kbyteの塊にしてつなげるしかないか。

AXI4 インターコネクタ

アドレス解決をどうするのか、IPを見ていただけではわからなかったが、どうやらAXIインターコネクトの内部にAXI crossbarがいてそいつでアドレスマッチング可能。vivadoの場合システムインテグレータでやると簡単そうだが、自作IPの取り込みが果たしてうまく…

modelsim で DPI-C

DPI-C systemverilogの機能で、C(C++)でかかれた関数をverilogで実行する機能。(またはその逆) 環境 xilinxは残念ながら高いmodelsimを買わなくてはならないが、alteraだとmodelsim AEがあるため無料でも可能とのこと。あとは、windowsならばMinGW等が必…

vivadoでmodelsim

simulation settingsで変更した後 compile_simlib -simulator modelsim をtclコンソールで実行して、Run Simulation 上の動画の通りやれば大丈夫だが、上の動画だとコマンドが compile_simlib でRun Simulationでエラーだった。

ACモータ 座標変換

絶対変換 日本の文献だとほとんどがこれ。 (CQの実践本だと相対変換だったりするが、日本の教科書的な本は絶対変換)代表的な参考書基礎電気機器学 (電気学会大学講座)作者: 電気学会出版社/メーカー: 電気学会発売日: 1984/01メディア: 単行本 クリック: 1…