2014-02-01から1ヶ月間の記事一覧
std_logicからstd_logic_vectorへ signal a : std_logic; signal b : std_logic_vector(0 downto 0); begin b(0) <= a; std_logic_vectorからstd_logicへ signal a : std_logic; signal b : std_logic_vector(0 downto 0); begin a <= b(0); xilinxのilaとか…