2013-01-01から1年間の記事一覧

AC701 VAdjの変更

必要な物 ・AC701 ・USB-TO-GPIO ・Fusion Digital Power DesignerTIのホームページからFusion Digital Power Designerをダウンロード http://www.ti.com/tool/fusion_digital_power_designer ダウンロード後、インストールする。 手順 1.電源を入れる前にPM…

emobile解約

発端 発端はスマホの液晶が割れてるのに気づいてemobileショップに持ち込み、修理とかを相談しようと思った。だが。 代替、修理対応がショップでできない。 ショップ店員曰く 「申し分けありませんがGS03は、emobileショップでの修理受付はできません、カス…

vivadoの使用感想

安定してる? 一日使えば数回は落ちる感じ。 落ちた後は、ハードウェアセッションとかやってると裏に幽霊スレッドが残るらしく、vivadoを再度立ち上げてもハードウェアセッションにつなげなくなる。 今のところどのプロセスを殺せばいいというのは不明なので…

HDL

今更ながら、HDLの勉強をしている。まぁ必要だからやっているのだが・・・今回はverilogではなくVHDL、ぶっちゃけverilogもかなり忘れてるのでつらいが。 VHDLを少しやってみた感想として、verilogよりもわかりやすいんじゃない?とか思うところもある。 特…

バイクねた

とりあえずバイクねたはこっちに書く。 http://ippei-touring.hatenablog.com/

AC701 SPI ROMへの書き方(spiwidth 1バージョン)

1.ビットファイル生成 vivado GUIより「GENERATE BITSTREAM」を実行 spi バス幅指定ができない? 調査中 2.mcs変換 a.ISEコマンドプロンプト PROMGen -spi -p mcs -o xxx.mcs -s 32768 -u 0 xxx.bit b.IMPACTで変換 File→New Project → Prepare a PROM FILE…

MSP430のエミュレータ接続

少しハマったのでメモmsp430でSpy-Bi-Wire(SBW)を行う場合RST端子のコンデンサ値は2.2nF以下にすること。2線式デバッグであるSBWはRSTが信号ラインとなり500kHzくらいの信号が流れるため、大きいコンデンサを入れると波形がなまって正常に接続ができない。 …

express cardの

VMwareのlinuxだとつらいのでlinuxをインストールするかと考得ていたけど内臓HDD(SSD)はあまり容量がないため、 express cardのに入れようかと考えたがどうやらもう売ってないらしい。売っててもすごく高い。なぜに絶滅しかけているのかがよくわからない。…

1ヶ月

とりあえず、実家に帰って一ヶ月近く。 そして新しいオフィスに通勤して一ヶ月近くになる。なにより思うのは「車運転しねぇ」 すごいもったいない気がするが、売るのももったいない気がする。あとバイクも新しいのを探してるが、かなり迷い中 B-king スーパ…

売却

色々考えたが、CB1000SFは手放すことにした。 ちなみに売却価格は9万円。次はB-king、CB1300SFとかで考え中。

ACサーボシステムの理論と設計の実際―基礎からソフトウェアサーボまで

ACサーボシステムの理論と設計の実際―基礎からソフトウェアサーボまで (モータエレクトロニクスシリーズ)作者: 杉本英彦,小山正人,玉井伸三出版社/メーカー: 総合電子出版社発売日: 1990/01メディア: 単行本購入: 1人 クリック: 36回この商品を含むブログを…

amazonコンビニ受け取り番号の法則

amazonの注文履歴に荷物がコンビニ届いているというと出ているがだがまだコンビニ受け取りメールが来ていない(もしくはエラー等で損失したとき)に番号を推測する方法 実はコンビニ受け取り番号は注文履歴画面より推測できる。(amazonカスタマーに教えても…