2014-03-01から1ヶ月間の記事一覧

implment後にタイミングレポートを自動更新

report_timing_summary -delay_type min_max -name timing1 -file timing_report.txt

合成開始前、インプリメント後にコミット

適当名前のtclスクリプトファイルを作って、中身を以下のように #tortisehgのコミットダイアログが起動 exec thg commit#自動的にコミット exec hg commit -m "auto commit"このファイルを合成オプションや、インプリメントオプションでpre(開始前)、post(…

AXI BFM

DO-AXI-BFM Xilinx Inc | DO-AXI-BFM-ND | DigiKey 85千円かー、AXI多用するなら購入するのもありかな。 でも来年度以降変わるのか?

ステートマシン

コード上ありえない飛び方しとる・・・。とりあえず、綺麗に書きなおすか。

FPGAテスト

一般的なHDL書きの人はどの程度テストをかくのだろうか? なんか、今回のはテストがワンパターンという恐るべきテストなのだが・・・。 なかなか巧妙にバグが隠されていて、「わざとですか?」と思うくらいバグが多くて泣ける。

pcie タイミングエラー

ac701 pcie周りのタイミングエラーが取れず制約、合成オプション、インプリメントオプションをいじったりしてたが、どうしても取れない。x4 gen2 設定だと、userclkが250MhzでWNSが-0.4ns~-1ns程度はでる。 example designだとギリギリクリアするが他社のコ…

vivado 合成,implementation 高速化

標準の設定 get_param general.maxThreads 2となっており、2スレッドしか使わない。これはもったいない。 使用スレッド数の変更 AR# 50345 - Vivado インプリメンテーション - Vivado でのマルチスレッドのサポートに書いてある。 例えば4スレッド使いたい場…

vivado VHDLプロジェクトでのAXI-4 mig

とりあえず、ipcatalogは諦めて、coregenからverilogプロジェクトで作ってuser_design/rtlのディレクトリをadd sourcesすれば行けそう。